TY - JOUR N2 - Network on chip (NoC) is presented as a promising solution to face off the growing up of the data exchange in the multiprocessor system-on-chip (MPSoC). However, the traditional NoC faces two main problems: the bandwidth and the energy consumption. To face off these problems, a new technology in MPSoC, namely, optical network-on-chip (ONoC) has been introduced which it uses the optical communication to guaranty a high performance in communication between cores. In addition, wavelength division multiplexing (WDM) is exploited in ONoC to reach a high rate of bandwidth. Nevertheless, the transparency nature of the ONoC components induce crosstalk noise to the optical signals, which it has a direct effect to the signal-to-noise ratio (SNR) then decrease the performance of the ONoC. In this paper, we proposed a new system to control these impairments in the network in order to detect and monitor crosstalk noise in WDM-based ONoC. Furthermore, the crosstalk monitoring system is a distributed hardware system designed and test with the different optical components according the various network topology used in ONoC. The register-transfer level (RTL) hardware design and implementation of this system can result in high reliability, scalability and efficiency with running time less than 20 ms. L1 - http://www.czasopisma.pan.pl/Content/113309/PDF/67.pdf L2 - http://www.czasopisma.pan.pl/Content/113309 PY - 2019 IS - No 3 EP - 505 DO - 10.24425/ijet.2019.129805 KW - Optical Network on Chip KW - Wavelength Division Multiplexing KW - Crosstalk Noise KW - Detection Crosstalk KW - waveguide KW - Optical Router A1 - Jedidi, Ahmed PB - Polish Academy of Sciences Committee of Electronics and Telecommunications VL - vol. 65 DA - 2019.09.06 T1 - Crosstalk Noise Aware System For WDM-Based Optical Network on Chip SP - 497 UR - http://www.czasopisma.pan.pl/dlibra/publication/edition/113309 T2 - International Journal of Electronics and Telecommunications ER -